WP_Term Object
(
    [term_id] => 18
    [name] => Intel
    [slug] => intel
    [term_group] => 0
    [term_taxonomy_id] => 18
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 415
    [filter] => raw
    [cat_ID] => 18
    [category_count] => 415
    [category_description] => 
    [cat_name] => Intel
    [category_nicename] => intel
    [category_parent] => 158
)

Intel Wafer Pricing Exposed!

Intel Wafer Pricing Exposed!
by Daniel Nenni on 12-28-2013 at 12:00 pm

 One of the big questions on Intel’s foundry strategy is: Can they compete on wafer pricing? Fortunately there are now detailed reports that support what most of us fabless folks already know. The simple answer is no, Intel cannot compete with TSMC or Samsung on wafer pricing at 28nm, 20nm, and 14nm.

In fact, recent reports have shown that Intel 32nm versus TSMC 28nm gives TSMC a 30%+ wafer cost advantage. At Intel 22nm versus TSMC 20nm the cost advantage is 35%+. This is an apple to apple comparison with Atom SoC versus ARM SoC silicon. Another key metric is capacity. During the recent investor meeting Intel CFO Stacy Smith claimed Intel was at 80% capacity so that is the number that was used in the wafer cost calculations for both Intel and TSMC. I question this number since Intel has three idle fabs (OR, AZ, Ireland) and TSMC 28nm was at 100% capacity up until recently but I digress…..

On the technical side we now know that, even with Intel’s superior process claims, TSMC 28nm SoCs easily beat Intel at 32nm in both power and performance. TSMC 20nm SoCs will again beat Intel 22nm. 14nm SoCs have yet to launch but one thing I can tell you is that Intel will NOT win business from TSMC’s top customers which will make up more than 50% of fabless revenues:

[LIST=1]

  • Qualcomm: TSMC and Samsung
  • Apple: TSMC and Samsung
  • NVIDIA: TSMC and Samsung
  • AMD: TSMC and GlobalFoundries
  • MediaTek: TSMC
  • Marvell: TSMC and Samsung
  • Broadcom: TSMC and Samsung
  • TI: TSMC
  • Spreadtrum: TSMC and Samsung
  • Xilinx: TSMC

    As you can see most of these customers will straddle TSMC and Samsung at 14nm to get pricing concessions which will make it even more difficult for Intel to compete. Additionally, Intel will have the added burden of the three idle fabs which brings utilization down to 50% (my guess since Intel was not “transparent” about it during analyst day). I’m really looking forward to the utilization conversation on the next earnings call. Mr. Smith has some explaining to do! Let’s see what kind of answer $15M+ in CFO compensation will get us. Since TSMC 20nm and 16nm use the same metal fabric the fabs are the same so expect a very high utilization rate.

    Also read: Should Intel Offer Foundry Services?

    Bottom line is that the Intel 14nm “Fill the Fab” foundry strategy is a paper tiger to appease Wall Street. At 10nm it may be a different story all together. If Intel does in fact deliver 10nm SoCs a year or two ahead of the foundries they may get business at the normal Intel price premium. But at 14nm it is simply not going to happen, no way, no how.

    I also question the business model where you allow your products to be manufactured by a direct competitor. It is a conflict of interest. It is a desperate business move. It is the reason why pure-play foundries exist. But these are desperate times with only one pure play foundry (TSMC) for leading edge SoC silicon. If GlobalFoundries and UMC had the capacity and were able to deliver wafers lockstep with TSMC, Samsung and Intel would not have a chance in the foundry business, absolutely.

    More Articles by Daniel Nenni…..

    lang: en_US

    Share this post via:

  • Comments

    0 Replies to “Intel Wafer Pricing Exposed!”

    You must register or log in to view/post comments.