Mine Cryptocurrencies Sooner Part 2

Authored By:
Raymond Nijssen
Vice President and Chief Technologist

Posted On: Mar 12, 2019

Bitcoin has lost much of its allure due to the concentration of control of the world’s Bitcoin mining resources by a few players in a few locations, as discussed in Part 1 of this blog. In response, the larger, global cryptocurrency community has started to develop alternative cryptocurrencies based on lessons learned from the Bitcoin experience.

New cryptocurrencies such as Monero introduce ASIC-resistance and memory-hardness to prevent ASICs from being built that give some operators a competitive mining advantage over others who do not have access to the same technology. These new cryptocurrencies, therefore, offer a more level playing field to cryptocurrency miners and, at the same time, tip the balance back to FPGAs as an implementation technology for cryptocurrency mining algorithms.

Post-Bitcoin Cryptocurrency Algorithms

New post-Bitcoin cryptocurrency algorithms are being created to ensure that no single entity can dominate the new cryptocurrency. The most important of these techniques is called “ASIC resistance,” which makes it impractical to build an ASIC implementation of the cryptocurrency mining algorithm.

The prevailing method to make a cryptocurrency algorithm resistant to ASIC implementation is to create a framework in which the mining algorithm can be changed to a new algorithm whenever necessary, say every six months. Such a change is called a blockchain fork. At each fork, all existing fixed-function hardware platforms executing the old algorithm immediately become completely and permanently worthless unless they can be reprogrammed to execute the new algorithm.

Examples of recent forks are Siacoin, Monero, or Bitcoin Gold forking. These forks intentionally change the associated blockchain to invalidate any previously released ASIC miners. There are also cryptocurrencies based on algorithms that are purposely designed to defeat attempts to add sufficient flexibility to ASICs. Others require proof of memory or storage to ensure that ASICs cannot accelerate the hash rate.

Global Monero Hash Rate Plotted Over Time

The replacement cryptocurrency mining algorithm is not known or disclosed up front, nor can it be anticipated beforehand, which prevents implementing the algorithm with some semi-flexible compute architecture implemented with an ASIC. Because it takes at least six months, usually more, to develop and fabricate new fixed-algorithm ASICs, such ASICs become useless as soon as they are deployed. With CPUs and GPUs, the new algorithm can be implemented and deployed quickly, thus ASIC resistance creates a more level playing field for all players.

New Cryptocurrencies are Best Mined with FPGAs

With cryptocurrency-mining ASICs invalidated, FPGA technology has again become the preferred solution. Like an ASIC, an FPGA is also an efficient miner compared to CPUs and GPUs. FPGAs are far more flexible compared to ASICs and cryptocurrency-mining designs running on FPGAs can quickly be adjusted to accommodate algorithm changes. When a new mining algorithm is announced for a specific cryptocurrency, a data center full of FPGA-based mining rigs can be reconfigured literally overnight to execute the new algorithm at the same speed and with similar electrical efficiency as before.

The compelling advantage of using FPGAs for cryptocurrency mining is the much faster time to market for the initial implementation of the algorithm when compared with ASICs. In addition, FPGAs still allow for additional efficiency gains at a later time by permitting the rollout of more optimized FPGA bitstream updates to the cryptocurrency mining rigs. This flexibility and adaptability gives FPGA-based mining rigs a major profit advantage over non-programmable implementations.

And, just as importantly, the programmable hardware allows miners to switch to the most profitable coin at any given time. In fact, coin-hopping algorithms are already very common in the mining space. Exploiting the flexibility of reprogrammable mining hardware this way can significantly increase mining profits. These are all powerful arguments that favor using programmable hardware in the form of FPGAs and FPGA technology as implementation vehicles for cryptocurrency mining algorithms.

Stay tuned for part 3 on this blog.