行业领先的生产效率

IC Validator 是一套全面的高性能 Signoff 物理验证解决方案,可以帮助客户在从成熟到先进的各个工艺节点上,显著提高其生产效率。IC Validator 采用业界先进的分布式处理算法,可扩展到超过 4,000 个 CPU 内核。该工具的高性能和可扩展性实现了目前业内领先的超大芯片的物理验证签收。数十亿个晶体管的设计,一天内完成设计规则检查 (DRC)、布局与原理图对照验证 (LVS) 以及金属填充的一次迭代。

IC Validator 物理验证与 Fusion 设计平台中的 Synopsys Fusion Compiler™ RTL-to-GDSII 解决方案以及 IC Compiler® II 布局布线系统,达成无缝集成。这种集成的融合技术通过在实现环境中实现独立的 Signoff 质量分析和自动修复,从而加速了芯片制造部门的设计收敛。

icv-cloud-demo-thumbnail-2.jpg

为先进工艺节点物理 Signoff 打造的 IC Validator 创新

了解 IC Validator 的全新技术(包括 Explorer DRC、Live DRC、可扩展性、弹性计算),可将流片时间缩短一半。

IC Validator 新动态

演示

icv-drc-cloud-demo-thumbnail.jpg
explorer-drc-demo-thumbnail.jpg

了解我们的客户如何使用 IC Validator

toshiba-icv-css-thumbnail.jpg

Toshiba

采用 IC Validator 加快 DRC 收敛

barefoot-ntwks-icv-css-thumbnail.jpg

Barefoot Networks

在 AWS 云端完成 IC Validator 物理 Signoff

nvidia-icv-css-thumbnail.jpg

Nvidia

IC Validator 用于全光罩 GPU 设计的物理 Signoff