Design Compiler NXT

下一代的 Design Compiler

Design Compiler® NXT 是 Design Compiler 系列 RTL 综合产品的全新创新,延伸了 Design Compiler Graphical 业内领先的综合地位。 Design Compiler NXT 的技术创新包括了快速高效的优化引擎,云就绪的分布式综合,一个全新的高精度 RC 估算方式以及 5 纳米及以下工艺节点所需的能力。

"We are collaborating with Synopsys on the latest synthesis technologies in Design Compiler NXT and are looking forward to deploying them on our designs to help meet our ever-increasing pressure of time-to-market and higher QoR."

Tatsuji Kagatani, Renesas 副总裁

Synopsys 数字工具集的下一代演化

更快的 QoR ,为先进工艺节点做好准备

优点

  • 通过高级的优化处理,包括并发时钟和数据在内,将时序和动态功耗的结果质量提升 5 %
  • 支持下一代工艺节点,包括 5 nm 以下 
  • 增强了对 IC Compiler II 的物理指导,改进了 RC 和时序相关性
  • 改进的多线程技术可在 8 个核上取得更好的扩展性将运行时间加快 2 倍
  • 带有智能工作负载分区的云就绪分布式处理
  • 使用 IC Compiler II 的通用物理库和块抽象模型,同时保留对 MilkyWay™ 库格式的支持
  • 与 Design Compiler Graphical 兼容的用户界面和脚本,即插即用