Verdi

自动化调试系统

Verdi 自动化调试系统是 Verdi SoC 调试平台的核心,可为所有设计和验证流程提供全面的调试功能。它包括强大的技术,可帮助您理解复杂和不熟悉的设计行为,自动化繁琐的调试流程,统一各种复杂的设计环境。

将调试时间缩短一半

Verdi® 系统让您专注于为您的设计带来更高价值的任务,通常能够将调试时间缩短一半。这些时间的节省通过独特的技术来实现:

  • 使用独特的行为分析技术自动执行行为追踪
  • 在灵活而强大的设计视图中提取、隔离并显示相关的逻辑
  • 揭示设计、断言和测试平台之间的操作和交互
Verdi 自动化调试系统

完整的调试系统

Verdi 自动化调试系统集成了您在调试系统中所期望的所有技术和功能。另外,Verdi 系统结合了先进的调试功能和对广泛的语言和方法的支持。

核心功能

Verdi 系统提供了以下基本调试功能:

  • 功能全面的波形查看器使您能够显示和分析各个时间段的活动
  • 功能强大的波形比较引擎可以让您隔离快速信号数据库 (FSDB) 文件之间的差异
  • 源代码浏览器使您能够在源代码和层次结构之间轻松来回移动
  • 灵活的原理图和方框图使您能够使用熟悉的符号显示逻辑和连接
  • 直观的气泡图帮助您揭示有限状态机的操作

高级功能

Verdi 系统还包含以下高级调试功能: 

  • 信号活动的自动追踪使用强大的行为分析技术,可以在多个时钟周期内快速追踪活动 
  • 时间流量视图提供时间和结构的组合显示,帮助您快速了解因果关系 
  • 基于事务的调试、灵活的事务处理和消息支持,可调试和分析更高抽象级别的设计
  • 基于断言的调试内置断言支持,有助于从断言故障快速移动到相关设计活动

SystemVerilog 测试平台调试:

  • SystemVerilog 测试平台 (SVTB) 和库的完整源代码支持,包括通用验证方法 (UVM),以确保测试平台代码的可重用性和互操作性
  • 帮助您了解测试平台代码的专业化视图,包括基于声明的层次结构浏览和导航、类继承和关系理解以及追踪
  • 内置的消息记录和自动化的 UVM 事务记录功能,结合先进的可视化技术,使您可以在仿真后验证环境中全面了解测试平台的活动
  • 借助全功能交互式仿真控制,您可以逐步执行复杂的测试平台代码以进行更详细的分析
  • 借助 UVM 感知型调试视图,用户可以从特定的 UVM 方面探索验证结果,如资源、工厂、阶段和序列
  • 事务级别的调试视图基于扩展的 FSDB,并支持新的事务和关系数据记录