Advertisement
News
EEtimes
News the global electronics community can trust
eetimes.com
power electronics news
The trusted news source for power-conscious design engineers
powerelectronicsnews.com
EPSNews
News for Electronics Purchasing and the Supply Chain
epsnews.com
elektroda
The can't-miss forum engineers and hobbyists
elektroda.pl
eetimes eu
News, technologies, and trends in the electronics industry
eetimes.eu
Products
Electronics Products
Product news that empowers design decisions
electronicproducts.com
Datasheets.com
Design engineer' search engine for electronic components
datasheets.com
eem
The electronic components resource for engineers and purchasers
eem.com
Design
embedded.com
The design site for hardware software, and firmware engineers
embedded.com
Elector Schematics
Where makers and hobbyists share projects
electroschematics.com
edn Network
The design site for electronics engineers and engineering managers
edn.com
electronic tutorials
The learning center for future and novice engineers
electronics-tutorials.ws
TechOnline
The educational resource for the global engineering community
techonline.com
Tools
eeweb.com
Where electronics engineers discover the latest toolsThe design site for hardware software, and firmware engineers
eeweb.com
Part Sim
Circuit simulation made easy
partsim.com
schematics.com
Brings you all the tools to tackle projects big and small - combining real-world components with online collaboration
schematics.com
PCB Web
Hardware design made easy
pcbweb.com
schematics.io
A free online environment where users can create, edit, and share electrical schematics, or convert between popular file formats like Eagle, Altium, and OrCAD.
schematics.io
Product Advisor
Find the IoT board you’ve been searching for using this interactive solution space to help you visualize the product selection process and showcase important trade-off decisions.
transim.com/iot
Transim Engage
Transform your product pages with embeddable schematic, simulation, and 3D content modules while providing interactive user experiences for your customers.
transim.com/Products/Engage
About
AspenCore
A worldwide innovation hub servicing component manufacturers and distributors with unique marketing solutions
aspencore.com
Silicon Expert
SiliconExpert provides engineers with the data and insight they need to remove risk from the supply chain.
siliconexpert.com
Transim
Transim powers many of the tools engineers use every day on manufacturers' websites and can develop solutions for any company.
transim.com

Samsung Foundry Promises Gate All-Around in ’22

By   10.14.2021 0

Samsung Foundry recently held its Foundry Forum where it revealed some details of its semiconductor process roadmaps and fab expansion. Samsung is being most aggressive pursuing the next generation of transistor technology, with plans to reach mass production ahead of TSMC and Intel. Samsung’s 3-nanometer process will use the gate-all-around (GAA) transistor structure, which the foundry calls MBCFET (Multi-bridge channel FET) and will be in production first half of 2022.  TSMC will wait another generation until its N2 process to deliver GAA some time in 2023.

Intel will bring its version of GAA, called RibbonFET, into production in its 20A process, likely in mid-2024. While Samsung is being the most aggressive on this technology, TSMC will deliver its 3-nanometer node earlier in 2022 using the more conservative approach by extending the life of FinFET designs. Intel will also use its “Enhanced SuperFin” transistors for the Intel 4 node in 2022, and the Intel 3 node in 2023. All these new nodes, both FinFET and GAA, are using extreme ultraviolet (EUV) lithography. It should also be noted, that neither Samsung nor TSMC has decided to follow Intel and rescale the node names from nanometers to Angstroms, at least not yet.

Samsung’s version of the gate all-around (GAA) transistor is the multi-bridge field effect transistor. The company said that designers can balance power against performance by by modulating the device channel width. (Source: Samsung Foundry)

The first Samsung GAA node is 3GAE, in mass production by end of 2022, followed by the 3GAP node by the end of 2023. The 2GAP node will not make it into mass production until 2025. Samsung executives said at a press conference before the Forum that its new MBCFET technology is more expensive to manufacture, but it will “strive” to continue to lower the cost per transistor. The 3nm MBCFET node will allow up to 35% decrease in area, 30% higher performance or 50% lower power consumption compared to its 5nm process.

It should be noted, that despite not have production fabs itself, IBM is deeply involved with the advanced GAA process development at the company’s semiconductor development efforts based at its research lab located at the Albany Nanotech Complex in Albany, NY. Samsung is part of that program and, just this year, IBM added Intel to the program. Which might explain why both IBM and Intel made appearances during the Samsung event. Samsung is also the foundry partner for IBM’s latest Power 10 and Telum Z Processors.

Partner Content
View All
By Shingo Kojima, Sr Principal Engineer of Embedded Processing, Renesas Electronics  03.26.2024

But why is GAA so important you might ask? With GAA, there is more control over the gate. While the finFET had discrete number of fins and limited control over height, GAA allows more control over the width of the nanosheet and the stacking, and allows better electrostatic control of the gate, and that allows smaller gates.

One effect of this improved control is the ability to lower supply voltages, which reduces transistor power. While early GAA prototypes used nanowires, all production GAA transistors use “nanosheets” that can be constructed with variable widths. The variable widths allow finer-grained control over the transistor size and drive capability, compared with FinFET.

All is not lost for the FinFET though. Samsung will continue to develop 14nm and 17nm FinFETs for specialty applications, such as RF, and has developed the 17nm node with 14nm front-end-of-line with a 28nm back-end-of-line to bridge the gap with 28nm planar transistors. Samsung’s 5nm node will also be qualified for automotive use.

New packaging technologies have become de rigueur for all the foundries as more chiplets, tiles, 2.5D and 3D options proliferate. Samsung is calling these packaging options “beyond Moore” for heterogeneous integration and will be offering 3D IC, microbumps, hybrid bonding, and “3.5D” options to keep pace with Intel and TSMC. TSMC has a strong roadmap and experience in packages with its CoWoS, InFO and SoIC technology and made packaging a major topic at its recent Technology Summit. Intel’s Foveros and EMIB technologies have likewise been touted as a differentiator.

New Fabs to the Rescue

Another key Samsung announcement is that it plans to expand its capacity by 3.2 times by 2026, including a new fab in the U.S. But Samsung would not provide a location, size, or timeline for the US fab, but does already have a fab (S2) in Austin, Texas. Samsung is also considering fab expansion for legacy nodes, which have been a major part of the recent chip shortages. TSMC has committed to new fab in Phoenix, Arizona for its N4 node and Intel has broken ground for a new advanced fab in Chandler, Arizona.

The foundry race between Samsung and TSMC has not slowed, and now Intel is entering the race as well. While Samsung may not be the first to deliver 3nm production volumes, the Samsung GAA transistor should have better performance and power characteristics. The challenge for Samsung is to maintain the lead after TSMC rolls out its N2 node and Intel’s 20A node in 2024.

0 comments
Post Comment

Leave a Reply

This site uses Akismet to reduce spam. Learn how your comment data is processed.

Related Articles