经过生产验证的,复杂的半导体IP核

半导体电路设计IP及配套交付件


T2M MIPI MIPI CSI-2 Tx v2.0控制器IP

MIPI CSI-2 Tx v2.0控制器IP

概述和功能介绍

MIPI CSI-2是一个在相机和主机处理器基带应用引擎之间的接口,由MIPI联盟定义。这个接口定义了一系列模块,用于在符合MIPI规范的产品中使用。MIPI CSI-2接收器用于移动和高速串行应用中,作为一个控制器,用于从MIPI CSI-2发射器接收相机视频并通过MIPI线路向MIPI CSI-2发射器发送相机命令。相机数据被编码后传输。MIPI CSI-2发射器与我们的MIPI CSI-2接收器和MIPI DPHY一起提供了一个完整的解决方案,用于解码MIPI CSI-2数据

符合MIPI CSI v2.x和MIPI D-PHY v1.x,MIPI D-PHY v2.x和MIPI C-PHY V1.x规范.

MIPI-CSI-2-Tx-v2.0-Controller-silicon-proven-ip-core-provider-in-taiwan

功能描述
  • 当使用C-PHY接口时,每个三元组能够以最高3 Gsps的速率传输数据,而3个三元组能够同时工作,总速率可达17Gbps。

  • 当使用D-PHY(V2.0)接口时,每个数据通道能够以最高2.5 Gbps的速率传输数据。而4车道同时工作时,总速率可达10Gbps

  • 可编程的1、2、3(C-PHY)或4(D-PHY)数据通道配置

  • 最多可配置4个虚拟通道

  • 功能在连续和非连续的时钟

方式

 

  • 颜色模式: 16、18、24和36 bpp

  • 颜色格式:YUV420 8,10位,不带CSPS和Legacy,YUV422 8,10位,RGB-888、565、666、555和444。原始6、7、8、10、12和14

  • 通过CCI接口注册配置

  • 主机接口或AXI接口格式的像素接口

  • 交付件Deliverables

  • 可配置的RTL代码

  • 基于hdl的测试台和行为模型

  • 测试用例

  • 协议检查器、总线监视器和性能监视器

  • 可配置的合成外壳

  • 文件编制

  • 设计指南

  • 验证指南

  • 合成指南