Advertisement
News
EEtimes
News the global electronics community can trust
eetimes.com
power electronics news
The trusted news source for power-conscious design engineers
powerelectronicsnews.com
EPSNews
News for Electronics Purchasing and the Supply Chain
epsnews.com
elektroda
The can't-miss forum engineers and hobbyists
elektroda.pl
eetimes eu
News, technologies, and trends in the electronics industry
eetimes.eu
Products
Electronics Products
Product news that empowers design decisions
electronicproducts.com
Datasheets.com
Design engineer' search engine for electronic components
datasheets.com
eem
The electronic components resource for engineers and purchasers
eem.com
Design
embedded.com
The design site for hardware software, and firmware engineers
embedded.com
Elector Schematics
Where makers and hobbyists share projects
electroschematics.com
edn Network
The design site for electronics engineers and engineering managers
edn.com
electronic tutorials
The learning center for future and novice engineers
electronics-tutorials.ws
TechOnline
The educational resource for the global engineering community
techonline.com
Tools
eeweb.com
Where electronics engineers discover the latest toolsThe design site for hardware software, and firmware engineers
eeweb.com
Part Sim
Circuit simulation made easy
partsim.com
schematics.com
Brings you all the tools to tackle projects big and small - combining real-world components with online collaboration
schematics.com
PCB Web
Hardware design made easy
pcbweb.com
schematics.io
A free online environment where users can create, edit, and share electrical schematics, or convert between popular file formats like Eagle, Altium, and OrCAD.
schematics.io
Product Advisor
Find the IoT board you’ve been searching for using this interactive solution space to help you visualize the product selection process and showcase important trade-off decisions.
transim.com/iot
Transim Engage
Transform your product pages with embeddable schematic, simulation, and 3D content modules while providing interactive user experiences for your customers.
transim.com/Products/Engage
About
AspenCore
A worldwide innovation hub servicing component manufacturers and distributors with unique marketing solutions
aspencore.com
Silicon Expert
SiliconExpert provides engineers with the data and insight they need to remove risk from the supply chain.
siliconexpert.com
Transim
Transim powers many of the tools engineers use every day on manufacturers' websites and can develop solutions for any company.
transim.com

Low-Power Apps, Foundries Eye Emerging Memories

Despite opportunities, higher densities remain a challenge for most emerging memories.

By   12.19.2022 0

Emerging memories are entering a new phase, but without a high-profile phase-change memory (PCM) that was responsible for the segment’s growth in previous years.

Intel announced it was sunsetting Optane, its PCM-based 3D XPoint technology, just as analysts Thomas Coughlin and Jim Handy were wrapping their annual report, which meant some last-minute revisions to “Emerging Memories Enter Next Phase.”

Objective Analysis’s Jim Handy

“Optane was on its way out and we still had a big Optane part in the report,” Handy told EE Times in an interview.

Without Optane, the thrust of the annual joint report from Coughlin Associates and Handy’s Objective Analysis is that the next phase for emerging memories is the major foundries — including Samsung, TSMC, and GlobalFoundries — shipping production parts that have either resistive random-access memory (ReRAM) or magnetoresistive RAM (MRAM) in them.

Partner Content
View All
By Shingo Kojima, Sr Principal Engineer of Embedded Processing, Renesas Electronics  03.26.2024

“That’s where we’re expecting most of the business to come from,” said Handy, adding that his embedded emerging memory growth will come from ReRAM and MRAM being placed into devices like microcontrollers (MCUs), ASICs, and even field-programmable gate arrays (FPGAs). “And it’s mostly as a replacement for NOR flash.”

NOR flash has hit its limits because it’s not cooperative below 28-nm process technology, which makes replacing it with emerging memories low-hanging fruit. Low-power applications are also well suited for many emerging memories.

Ferroelectric RAM (FRAM) is an excellent example of an emerging memory that fills a niche need at low densities — its radiation tolerance is why Infineon Technologies is putting it in space. Earlier this year, Infineon announced its 2-MB Serial Peripheral Interface FRAM, which the company claims is the space industry’s first radiation-hardened (rad-hard) FRAM. Aside from radiation tolerance, FRAM’s low energy consumption during operations makes it ideal for space because power is precious, and it also has superior write capabilities when compared with nonvolatile E2PROM and serial NOR flash devices.

FRAM delivers automotive, outer space reliability

More recently, Infineon introduced the availability of its 8- and 16-Mb Excelon F-RAM memories aimed at addressing the nonvolatile data-logging requirements of next-generation automotive and industrial systems, which, like outer space, are harsh operating environments and need extra protection to help prevent data loss. Ramesh Chettuvetty, who heads the RAM product line at Infineon’s Automotive Division, told EE Times in an interview that these latest FRAMs offer the highest density available in the industry, which are necessary to accommodate data-logging requirements being driven by the increase of automation and the rapid growth of connected sensors.

Infineon’s Excelon F-RAM memories address the nonvolatile data-logging requirements of automotive and industrial systems, which, like outer space, are harsh operating environments and need extra protection to help prevent data loss. (Source: Infineon Technologies)

Infineon’s investment in FRAM goes back a long time due in part to the assimilation of Cypress Technologies. Aside from low power consumption and radiation tolerance, Chettuvetty said the emerging memory’s appeal is its reliability when data is in flight, which makes it ideal for mission-critical data logging in industries like automotive, where it is a stringent requirement. FRAM is an ideal alternative to the incumbent NOR flash, which wears out quickly, he said, but flash is still preferred where requirements for data logging are not mission-critical because it’s cheaper.

Regardless of the reliability requirements, there’s a tidy little market for lower-density FRAM even as the amount of data being generated by logging is increasing. But if space is the final frontier, then high density is the next frontier for FRAM.

“There is definitely some kind of a density limitation with the existing technologies,” said Chettuvetty. He couldn’t go into details, but Infineon is exploring how to scale FRAM to densities beyond 16 Mb by looking at different materials.

FRAM has endured for nearly four decades because of its nonvolatility and low power consumption, which is enabled by its low switching energy compared with other memories. As noted by the Coughlin/Handy report, the emerging memory appears to have sold more unit volume than all other emerging memory types combined. One application that takes advantage of FRAM’s low write energy is in RFID chips for subway fare cards made by Fujitsu: Each transaction is powered by energy harvested from the investigating radio signal.

The challenge that has faced FRAM is that it’s historically been difficult to integrate with standard CMOS processes due to material issues with lead and bismuth, so it doesn’t scale well at smaller processes — being able to integrate with existing CMOS processes and going 3D is key to scalability. Today, development work continues on three types of FRAM cells: capacitor-based FRAM, ferroelectric field-effect transistors (FeFETs), and ferroelectric tunnel junctions. In the past decade, new lead-free and bismuth-free materials have led to renewed enthusiasm in FRAM, the report notes, including hafnium oxide.

Ferroelectric Memory Company (FMC) of Dresden, Germany, is building on hafnium oxide research published by NAMLABS in 2011 to overcome FRAM’s limitations. Hafnium oxide can act as a gate insulator for nearly all high-Κ metal-gate (HKMG) process nodes, making it possible to take a standard HKMG transistor and modify its gate insulator to become ferroelectric to create a nonvolatile HKMG transistor — the FeFET.

Both FRAM and ReRAM share similar successes and challenges. Both are radiation-tolerant and have found some success at smaller densities, but ReRAM is also struggling to scale up in density and be commercialized as a discrete memory. Weebit Nano is arguably the most active and high-profile ReRAM company, and a great deal of its focus has been developing the necessary selector technology for its discrete silicon oxide ReRAM.

ReRAM aims to break barriers with selector advances

The Israeli company completed full technology qualification of its ReRAM module manufactured by its R&D partner, CEA-Leti, which has played a key role in Weebit’s progress. Weebit vice president of marketing Eran Briman said the qualification was performed based on JEDEC industry standards for nonvolatile memories (NVMs) and confirms the suitability of Weebit’s embedded technology for volume production. Its ReRAM demo chip comprises a full subsystem for embedded applications, including the Weebit ReRAM module, a RISC-V MCU, system interfaces, memories, and peripherals.

Earlier this year, Weebit Nano demoed how it has integrated its initial ReRAM module into a complete subsystem including a RISC-V MCU, system interfaces, static random-access memory, and peripherals. (Source: Weebit Nano)

In the meantime, Weebit’s embedded ReRAM module has been delivered to Weebit from SkyWater’s U.S. production fab — the first time that silicon wafers of Weebit ReRAM have been received from a production fab — and demonstrates that its ReRAM can be manufactured with standard tools and a mature process flow, making it easier to adopt for customers’ system-on-chip (SoC) designs.

Briman told EE Times in an interview that the Skywater delivery is a major milestone: It and the qualification follows on the heels of making progress on the selector front. Together with CEA-Leti, the company recently demonstrated how its ReRAM selector achieves the high densities needed for discrete chips using standard materials and tools while also being able to fit embedded applications to higher NVM densities for future SoCs. This means the selector can be integrated into embedded devices at foundries like TSMC, he said. “That’s quite significant because that means that we can scale down the size of those memory arrays.”

Weebit’s embedded ReRAM module has been delivered to the company from SkyWater’s U.S. production fab, demonstrating that its ReRAM can be manufactured with standard tools and a mature process flow. (Source: Weebit Nano)

Finessing a selector is critical because it ensures that only the specific cells that should be accessed actually are, and all other cells are disconnected and not impacted. Embedded ReRAM designs have traditionally used transistors as selector devices, but they increase the cell area of a memory bit and can’t support the high densities required for discrete chips. Other approaches to further develop ReRAM include 3D stacked ReRAM crosspoint structure and technology that could improve the bit capacity per chip as well as minimize cost.

Weebit began ramping up its discrete ReRAM development two years ago, which at the time was driven by pressing demand by potential customers and a realistic opportunity for discrete ReRAM to become a replacement for NOR flash as it hit scaling challenges. As CEO Coby Hanoch previously told EE Times, the plan was that embedded ReRAM revenue would fund advances on the discrete front, including the selector, while investing opportunities for neuromorphic computing applications was a longer-term opportunity.

Like Infineon’s FRAM, Weebit’s ReRAM is industrial-grade, making it useful for harsh environments, including automotive and aerospace, especially where flash can’t handle radiation, said Briman. “We’re very robust with radiation; we are very robust with high temperature.”

Other research published by Yole Group is projecting the embedded ReRAM market to hit the $1 billion mark in five years, he said, and there’s a great deal of interest from foundries, fabs, and IDMs.

Weebit Nano’s Eran Briman

Briman said the primary challenge for ReRAM is to continue to scale down to lower process nodes. Weebit is taping a 22-nm and 8-Mb memory module soon, “and we’re already looking into even more advanced process nodes.” But every node has its own hopes for qualification and to ensure reliability and endurance while maintaining current and voltage on the memory cells as you scale down, he said, so there are many technical challenges ahead. “Starting on the embedded market, which has a smaller memory array, is a better entrance into this market. There’s a big-enough market opportunity in the embedded space.”

Aside from Weebit, there are a few ReRAM devices available at present for special applications, according to the Coughlin/Handy report. CrossBar has sampled a 40-nm ReRAM, made by its foundry partner, SMIC, with Microsemi and Microchip licensing its technology. The company has most recently been focusing on applying its technology for use in hardware security applications in the form of ReRAM-based cryptographic physical unclonable function keys that can be generated in secure computing applications. These keys aren’t new but are getting more attention due to online banking and the emergence of the internet of things, which have created opportunities beyond digital security for dedicated electronic devices like banking cards or payment terminals.

Other applications for ReRAM noted by the Coughlin/Handy report include artificial intelligence. Along with PCM, it’s well suited for storing linear weighting configurations in an architecture commonly known as neural networks, a very simplified type of inference engine that can perform an enormous amount of math at low precision in a very short time.

MRAM achieves higher densities

Unlike FRAM and ReRAM, MRAM has made more progress at scaling and delivering significant densities as both a discrete and embedded memory, and it comes in many forms, with two of nine more commonly seen as memory products: toggle mode and spin-transfer torque (STT). Toggle MRAM is becoming the persistent memory standard for SRAM replacement and is used in transportation, aerospace, enterprise, medical, IoT, and industrial applications.

As STT-MRAM density expands, it’s increasingly moving toward becoming a universal memory for data center applications, as it can attain higher densities, low power consumption, and reduced cost compared with Toggle. Everspin Technologies has product lines for both discrete and embedded memory devices. Most recently, the company launched its EMxxLX STT-MRAM devices, which are designed for use in electronic systems in which data persistence and integrity, low power, low latency, and security are paramount, such as industrial IoT, network/enterprise infrastructure, process automation and control, aeronautics/avionics, medical, gaming, and FPGA configurations.

In an interview with EE Times, president and CEO Sanjeev Aggarwal said its latest MRAM could replace NOR flash at high densities. For Everspin, it’s quicker to get a discrete MRAM product to market than embedded. With the latter, it’s collaborating quite a bit with foundry partners like GlobalFoundries. While both Toggle and STT MRAM work well for industrial applications in terms of temperature range, speeds, and data retention, “STT MRAM allows us to scale MRAM from low densities to higher densities,” said Aggarwal. It also requires less current as it goes to smaller nodes.

Everspin Technologies’ Sanjeev Aggarwal

Everspin has been shipping DDR interface MRAM for data center applications for nearly five years and growing its SRAM replacement market share, he said. The other candidate for MRAM to replace is NOR flash. One of the advantages it has over other emerging memories is that it doesn’t need to do any erases. “With a resistive memory, there is an erase command that is required that causes longer latencies and higher power,” said Aggarwal. Another market opportunity is within FPGAs, he added, which also employ NOR flash.

Despite all the opportunities for MRAM, Aggarwal doesn’t have any illusions that it can fully replace DRAM, including low-power DRAM or NAND flash. “I don’t think from a cost perspective we will ever be competitive, but what we can do is serve as adjacent memory. As an adjacent memory, STT MRAM still has considerable legs.”

He said that adjacency could be facilitated by the Compute Express Link (CXL) interface, which aims to optimize the use of memory resources so that the right memory is used for the right workload while minimizing how far the data must travel. “What CXL does is allow you on the device side to handle memories with different latencies. In that sense, CXL is something that MRAM can work with.”

The Coughlin/Handy Report notes the key advantages of MRAM is that it requires fewer masks, thus lowering production complexity. With PCM yet to emerge as a viable foundry technology and ReRAM still largely in the research and development phase, embedded MRAM looks to be the logical replacement for embedded NOR flash for data and code storage. It’s also tolerant to radiation, making it useful for space-hardened applications. Everspin recently signed a contract to provide MRAM technology, design, and back-end-of-line manufacturing services with QuickLogic Corporation. Together, they will develop and demonstrate strategic rad-hard, high-reliability FPGA technology to support identified and future Department of Defense (DoD) strategic and space system requirements.

Aside from Everspin, other notable MRAM developers include Avalanche Technology, which has been working on its own version of a perpendicular STT MRAM for several years and mainly focused on military-grade products, while Samsung has an extensive MRAM development program for its embedded products, the report noted. In early 2022, the company demonstrated an in-memory computing MRAM chip processing stored data and used for face detection; Samsung’s chip adds compute elements to a 64 × 64-cell crossbar array of MRAM devices to speed AI tasks.

The other high-profile emerging memory in recent years has been PCM, but its projected growth in the market was completely dependent on 3D XPoint technology joint-developed by Micron and Intel. With Micron having exited that market in March 2021 with very little product development and Intel mothballing its Optane SSDs and DIMMs in the summer of 2022 after some serious development investment and marketing, Handy wonders if Intel is going to find a home for a technology they spent “a whole lot of money on.” Optane didn’t work out, so he doubts anyone will be chasing it anymore, but he wouldn’t be surprised if Intel sold the patents or engineers took over to run a separate company that could do PCM for some other application.

Intel Optane represented the only commercialized PCRAM to date, which the company has positioned as another layer in the storage hierarchy above 3D NAND SSDs and below DRAM. (Source: Intel Corporation)

Overall, Handy said there are niche markets that need emerging memory for specific attributes, such as radiation tolerance. “The places where people are really valuing the emerging memory technologies right now is in power-sensitive applications, so that’ll be a good place for the technology to get a foothold.” But ultimately, he said, emerging memories still face a perennial “chicken and egg” challenge even if they have the potential to solve problems at higher densities.

“You can get to higher density if you go to a tighter process, but it costs money to go to the tighter process. You have to have a volume market to warrant going there, and that’s the thing that gets in the way of any of these technologies.”

Related articles

Infineon Puts FRAM in Space

CrossBar Aims to Secure Computing with ReRAM

FRAM’s Future is Higher Densities

Weebit Nano Ramps Up Discrete ReRAM Development

Are Emerging Memories Finally Emerging?

Micron Exits 3D XPoint Market, Eyes CXL Opportunities

Does PCRAM Have Potential Beyond Optane?

What Legacy Will Money-Losing Optane Have?

0 comments
Post Comment

Leave a Reply

This site uses Akismet to reduce spam. Learn how your comment data is processed.

Related Articles